Message Area
Casually read the BBS message area using an easy to use interface. Messages are categorized exactly like they are on the BBS. You may post new messages or reply to existing messages!

You are not logged in. Login here for full access privileges.

Previous Message | Next Message | Back to TimEd, NetMgr, WIMM Support Conf...  <--  <--- Return to Home Page
   Networked Database  TimEd, NetMgr, WIMM Support Conf...   [94 / 120] RSS
 From   To   Subject   Date/Time 
Message   andrew clarke    Martin Foster   Announcing timEd 1.30!   November 4, 2018
 3:10 AM *  

Hi Martin,

03 Nov 18 13:12, you wrote to me:

 ac>> timEd change log
 ac>> ================

 ac>> 1.30
 ac>> ----

 ac>> * TIMKEYS.CFG, READMAPS.DAT and WRITMAPS.DAT were missing from the
 ac>>   distribution archives and are now included.

 MF> After installing, configuring and running the Windows version(on
 MF> Win7), I'm getting a message telling me that 'timed.hlp' is missing
 MF> when I press <F1>.

Ah yes, I still left out the help file from the archives. :-(

Downloading it from the source code on GitHub works, or from an earlier version
 of timEd.

 MF> However, I've dragged a copy out of the source and put it in my timEd
 MF> directory but when I now press F1, nothing at all happens.

I can't replicate that here in Windows 7. It works for me.

The code to display the help file is pretty simple and has reasonable error
checking, so I can't even speculate as to what's wrong there.

Anyone else having the same problem?

Thanks.

--- GoldED+/BSD 1.1.5-b20180707
 * Origin: Blizzard of Ozz, Melbourne, Victoria, Australia (3:633/267)
  Show ANSI Codes | Hide BBCodes | Show Color Codes | Hide Encoding | Hide HTML Tags | Show Routing
Previous Message | Next Message | Back to TimEd, NetMgr, WIMM Support Conf...  <--  <--- Return to Home Page

VADV-PHP
Execution Time: 0.0694 seconds

If you experience any problems with this website or need help, contact the webmaster.
VADV-PHP Copyright © 2002-2024 Steve Winn, Aspect Technologies. All Rights Reserved.
Virtual Advanced Copyright © 1995-1997 Roland De Graaf.
v2.0.140505

Warning: Unknown: open(c:\Sessions\sess_1n11in63o15rqjqc5s1d0gkv44, O_RDWR) failed: No such file or directory (2) in Unknown on line 0 Warning: Unknown: Failed to write session data (files). Please verify that the current setting of session.save_path is correct (c:\Sessions) in Unknown on line 0 PHP Warning: session_start(): open(c:\Sessions\sess_1n11in63o15rqjqc5s1d0gkv44, O_RDWR) failed: No such file or directory (2) in D:\wc5\http\public\VADV\include\common.inc.php on line 45 PHP Warning: Unknown: open(c:\Sessions\sess_1n11in63o15rqjqc5s1d0gkv44, O_RDWR) failed: No such file or directory (2) in Unknown on line 0 PHP Warning: Unknown: Failed to write session data (files). Please verify that the current setting of session.save_path is correct (c:\Sessions) in Unknown on line 0